(module (import $bad "test" "bad" (param i64)))