{-| Copyright : (C) 2020, QBayLogic B.V. License : BSD2 (see the file LICENSE) Maintainer : QBayLogic B.V. Bool isKeyword t = HashSet.member (Text.toLower t) keywords parseBasic :: Text -> Bool parseBasic id0 = Verilog.parseBasic' id0 && not (isKeyword id0) parseExtended :: Text -> Bool parseExtended = Verilog.parseExtended toBasic :: Text -> Text toBasic (Verilog.toBasic' -> t) = if isKeyword t then "r_" <> t else t unextend :: Text -> Text unextend = Verilog.unextend toText :: IdentifierType -> Text -> Text toText = Verilog.toText