netlist: Netlist AST

[ bsd3, language, library ] [ Propose Tags ]

A very simplified and generic netlist designed to be compatible with Hardware Description Languages (HDLs) like Verilog and VHDL. Includes a simple inliner.

Flags

Automatic Flags
NameDescriptionDefault
base4

Compile using base-4 instead of base-3

Enabled

Use -f <flag> to enable a flag, or -f -<flag> to disable that flag. More info

Downloads

Maintainer's Corner

Package maintainers

For package maintainers and hackage trustees

Candidates

  • No Candidates
Versions [RSS] 0.2, 0.3.1
Dependencies base (>=3 && <5), binary, containers, syb [details]
License BSD-3-Clause
Copyright Copyright (c) 2010 Signali Corp. Copyright (c) 2010 Philip Weaver.
Author Philip Weaver <philip.weaver@gmail.com>
Maintainer andygill@ku.edu
Category Language
Uploaded by AndyGill at 2011-10-28T16:03:00Z
Distributions Fedora:0.3.1, NixOS:0.3.1
Reverse Dependencies 4 direct, 2 indirect [details]
Downloads 2281 total (8 in the last 30 days)
Rating (no votes yet) [estimated by Bayesian average]
Your Rating
  • λ
  • λ
  • λ
Status Docs uploaded by user
Build status unknown [no reports yet]