netlist-to-vhdl: Convert a Netlist AST to VHDL

[ bsd3, language, library ] [ Propose Tags ]

Convert a Netlist AST to VHDL

Modules

[Index]

Flags

Automatic Flags
NameDescriptionDefault
base4

Compile using base-4 instead of base-3

Enabled

Use -f <flag> to enable a flag, or -f -<flag> to disable that flag. More info

Downloads

Maintainer's Corner

Package maintainers

For package maintainers and hackage trustees

Candidates

  • No Candidates
Versions [RSS] 0.2, 0.3.1, 0.3.2, 0.3.3
Dependencies base (>=3 && <5), netlist (==0.2), pretty (>=1.0) [details]
License BSD-3-Clause
Copyright Copyright (c) 2010 University of Kansas
Author Garrin Kimmell
Maintainer garrin.kimmell@gmail.com
Category Language
Uploaded by PhilipWeaver at 2010-11-13T05:21:44Z
Distributions Fedora:0.3.3, NixOS:0.3.3
Reverse Dependencies 2 direct, 3 indirect [details]
Downloads 3428 total (19 in the last 30 days)
Rating (no votes yet) [estimated by Bayesian average]
Your Rating
  • λ
  • λ
  • λ
Status Docs uploaded by user
Build status unknown [no reports yet]