netlist-to-vhdl-0.3.1: Convert a Netlist AST to VHDL

Portabilitynon-portable
Stabilityexperimental
Maintainergarrin.kimmell@gmail.com

Language.Netlist.GenVHDL

Description

Translates a Netlist AST (Language.Netlist.AST) to VHDL.

Synopsis

Documentation

genVHDL :: Module -> [String] -> StringSource

Generate a Module as a VHDL file . The [String] argument is the list of extra modules to import, typically ["work.all"].