netlist-to-vhdl-0.3.3: Convert a Netlist AST to VHDL

Copyright(c) University of Kansas 2010
LicenseAll rights reserved
Maintainergarrin.kimmell@gmail.com
Stabilityexperimental
Portabilitynon-portable
Safe HaskellNone
LanguageHaskell98

Language.Netlist.GenVHDL

Description

Translates a Netlist AST (AST) to VHDL.

Synopsis

Documentation

genVHDL :: Module -> [String] -> String Source #

Generate a Module as a VHDL file . The [String] argument is the list of extra modules to import, typically ["work.all"].