module FooTest where case_foo = undefined