verismith-0.5.0.0: Random verilog generation and simulator testing.

Copyright(c) 2019 Yann Herklotz Grave
LicenseGPL-3
Maintaineryann [at] yannherklotz [dot] com
Stabilityexperimental
PortabilityPOSIX
Safe HaskellSafe
LanguageHaskell2010

Verismith.Verilog.Token

Description

Tokens for Verilog parsing.

Documentation

data Token Source #

Instances
Eq Token Source # 
Instance details

Defined in Verismith.Verilog.Token

Methods

(==) :: Token -> Token -> Bool #

(/=) :: Token -> Token -> Bool #

Show Token Source # 
Instance details

Defined in Verismith.Verilog.Token

Methods

showsPrec :: Int -> Token -> ShowS #

show :: Token -> String #

showList :: [Token] -> ShowS #

data TokenName Source #

Constructors

KWAlias 
KWAlways 
KWAlwaysComb 
KWAlwaysFf 
KWAlwaysLatch 
KWAnd 
KWAssert 
KWAssign 
KWAssume 
KWAutomatic 
KWBefore 
KWBegin 
KWBind 
KWBins 
KWBinsof 
KWBit 
KWBreak 
KWBuf 
KWBufif0 
KWBufif1 
KWByte 
KWCase 
KWCasex 
KWCasez 
KWCell 
KWChandle 
KWClass 
KWClocking 
KWCmos 
KWConfig 
KWConst 
KWConstraint 
KWContext 
KWContinue 
KWCover 
KWCovergroup 
KWCoverpoint 
KWCross 
KWDeassign 
KWDefault 
KWDefparam 
KWDesign 
KWDisable 
KWDist 
KWDo 
KWEdge 
KWElse 
KWEnd 
KWEndcase 
KWEndclass 
KWEndclocking 
KWEndconfig 
KWEndfunction 
KWEndgenerate 
KWEndgroup 
KWEndinterface 
KWEndmodule 
KWEndpackage 
KWEndprimitive 
KWEndprogram 
KWEndproperty 
KWEndspecify 
KWEndsequence 
KWEndtable 
KWEndtask 
KWEnum 
KWEvent 
KWExpect 
KWExport 
KWExtends 
KWExtern 
KWFinal 
KWFirstMatch 
KWFor 
KWForce 
KWForeach 
KWForever 
KWFork 
KWForkjoin 
KWFunction 
KWFunctionPrototype 
KWGenerate 
KWGenvar 
KWHighz0 
KWHighz1 
KWIf 
KWIff 
KWIfnone 
KWIgnoreBins 
KWIllegalBins 
KWImport 
KWIncdir 
KWInclude 
KWInitial 
KWInout 
KWInput 
KWInside 
KWInstance 
KWInt 
KWInteger 
KWInterface 
KWIntersect 
KWJoin 
KWJoinAny 
KWJoinNone 
KWLarge 
KWLiblist 
KWLibrary 
KWLocal 
KWLocalparam 
KWLogic 
KWLongint 
KWMacromodule 
KWMatches 
KWMedium 
KWModport 
KWModule 
KWNand 
KWNegedge 
KWNew 
KWNmos 
KWNor 
KWNoshowcancelled 
KWNot 
KWNotif0 
KWNotif1 
KWNull 
KWOption 
KWOr 
KWOutput 
KWPackage 
KWPacked 
KWParameter 
KWPathpulseDollar 
KWPmos 
KWPosedge 
KWPrimitive 
KWPriority 
KWProgram 
KWProperty 
KWProtected 
KWPull0 
KWPull1 
KWPulldown 
KWPullup 
KWPulsestyleOnevent 
KWPulsestyleOndetect 
KWPure 
KWRand 
KWRandc 
KWRandcase 
KWRandsequence 
KWRcmos 
KWReal 
KWRealtime 
KWRef 
KWReg 
KWRelease 
KWRepeat 
KWReturn 
KWRnmos 
KWRpmos 
KWRtran 
KWRtranif0 
KWRtranif1 
KWScalared 
KWSequence 
KWShortint 
KWShortreal 
KWShowcancelled 
KWSigned 
KWSmall 
KWSolve 
KWSpecify 
KWSpecparam 
KWStatic 
KWStrength0 
KWStrength1 
KWString 
KWStrong0 
KWStrong1 
KWStruct 
KWSuper 
KWSupply0 
KWSupply1 
KWTable 
KWTagged 
KWTask 
KWThis 
KWThroughout 
KWTime 
KWTimeprecision 
KWTimeunit 
KWTran 
KWTranif0 
KWTranif1 
KWTri 
KWTri0 
KWTri1 
KWTriand 
KWTrior 
KWTrireg 
KWType 
KWTypedef 
KWTypeOption 
KWUnion 
KWUnique 
KWUnsigned 
KWUse 
KWVar 
KWVectored 
KWVirtual 
KWVoid 
KWWait 
KWWaitOrder 
KWWand 
KWWeak0 
KWWeak1 
KWWhile 
KWWildcard 
KWWire 
KWWith 
KWWithin 
KWWor 
KWXnor 
KWXor 
IdSimple 
IdEscaped 
IdSystem 
LitNumberUnsigned 
LitNumber 
LitString 
SymParenL 
SymParenR 
SymBrackL 
SymBrackR 
SymBraceL 
SymBraceR 
SymTildy 
SymBang 
SymAt 
SymPound 
SymPercent 
SymHat 
SymAmp 
SymBar 
SymAster 
SymDot 
SymComma 
SymColon 
SymSemi 
SymEq 
SymLt 
SymGt 
SymPlus 
SymDash 
SymQuestion 
SymSlash 
SymDollar 
SymSQuote 
SymTildyAmp 
SymTildyBar 
SymTildyHat 
SymHatTildy 
SymEqEq 
SymBangEq 
SymAmpAmp 
SymBarBar 
SymAsterAster 
SymLtEq 
SymGtEq 
SymGtGt 
SymLtLt 
SymPlusPlus 
SymDashDash 
SymPlusEq 
SymDashEq 
SymAsterEq 
SymSlashEq 
SymPercentEq 
SymAmpEq 
SymBarEq 
SymHatEq 
SymPlusColon 
SymDashColon 
SymColonColon 
SymDotAster 
SymDashGt 
SymColonEq 
SymColonSlash 
SymPoundPound 
SymBrackLAster 
SymBrackLEq 
SymEqGt 
SymAtAster 
SymParenLAster 
SymAsterParenR 
SymAsterGt 
SymEqEqEq 
SymBangEqEq 
SymEqQuestionEq 
SymBangQuestionEq 
SymGtGtGt 
SymLtLtLt 
SymLtLtEq 
SymGtGtEq 
SymBarDashGt 
SymBarEqGt 
SymBrackLDashGt 
SymAtAtParenL 
SymParenLAsterParenR 
SymDashGtGt 
SymAmpAmpAmp 
SymLtLtLtEq 
SymGtGtGtEq 
Unknown 
Instances
Eq TokenName Source # 
Instance details

Defined in Verismith.Verilog.Token

Show TokenName Source # 
Instance details

Defined in Verismith.Verilog.Token

data Position Source #

Constructors

Position String Int Int 
Instances
Eq Position Source # 
Instance details

Defined in Verismith.Verilog.Token

Show Position Source # 
Instance details

Defined in Verismith.Verilog.Token