clash-prelude-1.4.1: Clash: a functional hardware description language - Prelude library

Index - S

SClash.Sized.Internal.Signed
SActiveEdgeClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SActiveHighClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SActiveLowClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sameDomainClash.Signal.Internal, Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sameNatClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sameSymbolClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sample 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sampleN 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sampleN_lazy 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sampleWithReset 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sampleWithResetN 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sample_lazy 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
SAsynchronousClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
satAddClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SatBoundClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
satMulClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
satPredClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
satSubClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
satSuccClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SatSymmetricClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SaturatingNumClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SaturationModeClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SatWrapClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SatZeroClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SBiSignalDefaultClash.Signal.BiSignal
scaleFloatClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
scanlClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
scanl1Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
scanrClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
scanr1Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
scatterClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SDefinedClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SDomainConfiguration 
1 (Type/Class)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Data Constructor)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
secondDFClash.Prelude.DataFlow
seekClash.Explicit.SimIO
selectClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
selectIClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SemigroupClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
seqClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
seqDFClash.Prelude.DataFlow
sequenceClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sequenceAClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sequence_Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
seqVClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
seqVXClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
seqXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
setBitClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
setNameClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
setSliceClash.Prelude.BitIndex, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
setSlice#Clash.Sized.Internal.BitVector
sfClash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SFallingClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SFixedClash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SFloatingClash.Signal.BiSignal
shiftClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftInAt0Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftInAtNClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftLClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftL# 
1 (Function)Clash.Sized.Internal.BitVector
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
shiftOutFrom0Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftOutFromNClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftRClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
shiftR# 
1 (Function)Clash.Sized.Internal.BitVector
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
ShowClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showBNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showCharClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showListClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showListXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showListX__Clash.XException.Internal
showParenClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ShowSClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showsClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showsPrecClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showsPrecXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showsPrecXWithClash.XException.Internal, Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showStringClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showsXClash.XException.Internal, Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ShowType 
1 (Data Constructor)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Type/Class)Clash.XException.Internal
ShowXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
showXWithClash.XException.Internal
shrinkSizedUnsignedClash.Sized.Internal.BitVector
SignalClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
signal#Clash.Signal.Internal
signalAutomaton 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
SignedClash.Sized.Internal.Signed, Clash.Sized.Signed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
signExtendClash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
significandClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
signumClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SimIOClash.Explicit.SimIO
simpleDerivatorClash.Annotations.BitRepresentation.Deriving
simulate 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
simulateB 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
simulateB_lazy 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
simulateNClash.Signal, Clash.Prelude.Safe, Clash.Prelude
simulateWithReset 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
simulateWithResetN 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
simulate_lazy 
1 (Function)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
sinClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
singletonClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sinhClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SInitBehaviorClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SizeClash.Annotations.BitRepresentation
size# 
1 (Function)Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
4 (Function)Clash.Sized.Internal.Index
sliceClash.Prelude.BitIndex, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
slice#Clash.Sized.Internal.BitVector
smapClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SNat 
1 (Type/Class)Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Data Constructor)Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SNatGTClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SNatLE 
1 (Type/Class)Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Data Constructor)Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
snatProxyClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
snatToIntegerClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
snatToNaturalClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
snatToNumClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
sndClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
someClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SomeNat 
1 (Data Constructor)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Type/Class)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
someNatValClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SomeSymbol 
1 (Data Constructor)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Type/Class)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
someSymbolValClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
spanClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
splitClash.Prelude.BitIndex, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
split#Clash.Sized.Internal.BitVector
splitAtClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
splitAtIClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SPullDownClash.Signal.BiSignal
SPullUpClash.Signal.BiSignal
sqrtClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SResetKindClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SResetPolarityClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SRisingClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SSymbol 
1 (Type/Class)Clash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Data Constructor)Clash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ssymbolProxyClash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ssymbolToStringClash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SSynchronousClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
stencil1dClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
stencil2dClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
stepLockClash.Prelude.DataFlow
stimuliGenerator 
1 (Function)Clash.Explicit.Testbench, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.Testbench
StringClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
StringAttrClash.Annotations.SynthesisAttributes
stripZerosClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
StuckErrorMsgClash.Class.HasDomain.HasSingleDomain
subClash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
subIfGeClash.Sized.Internal.Mod
subModClash.Sized.Internal.Mod
subSNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
subtractClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
subUNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
succClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
succBNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
succSNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
suffixNameClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
suffixNameFromNatClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
suffixNameFromNatPClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
suffixNamePClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
sumClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SUnknownClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SVAClash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification
swapDFClash.Prelude.DataFlow
SymbolClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
symbolValClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
symbolVal'Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SynchronousClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
syncRegister#Clash.Signal.Internal
SynthesizeClash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SystemClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
systemClockGenClash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SystemClockResetEnableClash.Signal, Clash.Prelude.Safe, Clash.Prelude
systemResetGenClash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
SystemVerilogClash.Annotations.Primitive